vhdl_pong/collision-entity.vhd
2019-10-01 01:26:10 +02:00

13 lines
321 B
VHDL

library ieee;
use ieee.std_logic_1164.all;
entity collision is
port(x_dir : in std_logic;
y_dir : in std_logic;
x_pos : in std_logic_vector(11 downto 0);
y_pos : in std_logic_vector(8 downto 0);
bat_pos : in std_logic_vector(8 downto 0);
change : out std_logic);
end entity collision;