vhdl_pong/debouncer-entity.vhd
2019-10-01 01:26:10 +02:00

12 lines
239 B
VHDL

library ieee;
use ieee.std_logic_1164.all;
entity debouncer is
port(button : in std_logic;
enable : in std_logic;
reset : in std_logic;
clock : in std_logic;
button_o : out std_logic);
end entity debouncer;